Use este identificador para citar ou linkar para este item: http://repositorio.utfpr.edu.br/jspui/handle/1/14882
Registro completo de metadados
Campo DCValorIdioma
dc.creatorSouza, Alexssandro Braz de
dc.creatorMartinello, Deise
dc.date.accessioned2020-11-18T14:46:30Z-
dc.date.available2020-11-18T14:46:30Z-
dc.date.issued2013-03-20
dc.identifier.citationSOUZA, Alexssandro Braz de; MARTINELLO, Deise. Sistema de controle de temperatura usando FPGA. 2013. 37 f. Trabalho de Conclusão de Curso (Graduação) - Universidade Tecnológica Federal do Paraná, Pato Branco, 2013.pt_BR
dc.identifier.urihttp://repositorio.utfpr.edu.br/jspui/handle/1/14882-
dc.description.abstractA temperature controller aims to maintain a constant temperature, within a predetermined range of values. Following these definitions, a digital circuit has been implemented in VHDL that allows the user to interact with FPGAs using discrete components. The tool used is the ISE 12.4, Xilinx, which is a set of programs which operate in an integrated way so that can implement digital systems with a kit of reconfigurable logic. The reconfigurable computing is based on reprogrammable logic devices that can achieve high performance and at the same time providing the flexibility of describing systems at gate level. The system consists of a circuit with discrete components, which has the function of receiving the sensor voltage, interacting with the synthesized VHDL code to perform the control of the temperature.pt_BR
dc.languageporpt_BR
dc.publisherUniversidade Tecnológica Federal do Paranápt_BR
dc.subjectControle de temperaturapt_BR
dc.subjectControladores programáveispt_BR
dc.subjectSistemas de controle inteligentept_BR
dc.subjectTemperature controlpt_BR
dc.subjectProgrammable controllerspt_BR
dc.subjectIntelligent control systemspt_BR
dc.titleSistema de controle de temperatura usando FPGApt_BR
dc.typebachelorThesispt_BR
dc.description.resumoUm controlador de temperatura tem por finalidade manter uma temperatura constante, dentro de um intervalo predeterminado de valores. Seguindo estas definições, foi implementado um circuito digital em VHDL que permite o usuário interagir através de FPGAs com componentes discretos. A ferramenta utilizada é o ISE 12.4, da Xilinx, onde consta um conjunto de programas que operam de forma integrada para que se possa implementar sistemas digitais com um kit de lógica reconfigurável. A computação reconfigurável baseia-se em dispositivos lógicos reprogramáveis que podem atingir um desempenho elevado e, ao mesmo tempo, fornecer a flexibilidade da programação a nível de portas lógicas. O sistema constitui de um circuito com componentes discretos, do qual tem como função receber a leitura do sensor, interagindo com o código VHDL sintetizado para realizar o controle de temperatura.pt_BR
dc.degree.localPato Brancopt_BR
dc.publisher.localPato Brancopt_BR
dc.contributor.advisor1Barros, André Macário
dc.subject.cnpqTecnologia em Automação Industrialpt_BR
Aparece nas coleções:PB - Tecnologia em Automação Industrial

Arquivos associados a este item:
Arquivo Descrição TamanhoFormato 
PB_COAUT_2012_2_05.pdf868,86 kBAdobe PDFThumbnail
Visualizar/Abrir


Os itens no repositório estão protegidos por copyright, com todos os direitos reservados, salvo quando é indicado o contrário.